Commit graph

17335 commits

Author SHA1 Message Date
Philippe Teuwen 27edaa6d0c forgot fct declaration 2023-09-07 22:48:55 +02:00
Philippe Teuwen 3aeb5c493f fix a few cppcheck warnings 2023-09-07 22:28:37 +02:00
Philippe Teuwen d97f417ee6 Fix clang warnings on increasing alignment requirements 2023-09-07 21:00:07 +02:00
Philippe Teuwen d74e264250 style 2023-09-07 20:13:18 +02:00
iceman1001 5abae85eda legic sim should work now.... 2023-09-07 12:54:46 +02:00
iceman1001 9ddbdad8cb added keys from #2019 unknown if they are static 2023-09-06 10:59:21 +02:00
Iceman 3141c6a249
Merge pull request #2102 from ernestask/wip/ernestask/vilniečio-kertelė
dictionaries: Add keys for Vilnius public transit card
2023-09-06 10:53:18 +02:00
Ernestas Kulik cc846216d2 dictionaries: Add keys for Vilnius public transit card 2023-09-06 09:41:34 +03:00
Iceman 8a8c702f51
Merge pull request #2103 from piru/master
Fix crc render position when data len is multiple of 16
2023-09-05 16:45:46 +02:00
Harry Sintonen e5941bcf3a fix crc render position when data len is multiple of 16 2023-09-05 16:38:33 +03:00
iceman1001 276bd29da9 cleanup and fix shadowing var 2023-09-03 14:43:38 +02:00
iceman1001 3e034e146a remove unneeded line 2023-09-03 12:36:30 +02:00
Iceman ae4e97999b
Merge pull request #2099 from shallax/master
Fix MFU authentication to send PACK correctly.
2023-09-03 11:00:22 +02:00
Thomas Pedley e3cc8a98e9 Fix MFU authentication to send PACK correctly. 2023-08-30 08:05:23 +01:00
iceman1001 32f892e512 fix 14b dump 2023-08-29 22:37:56 +02:00
iceman1001 0cbc0c2f8b fix CID #416734 2023-08-29 21:45:15 +02:00
iceman1001 b90326be61 remove file not used anymore 2023-08-29 21:21:26 +02:00
iceman1001 85aac72855 new fpga images 2023-08-29 16:46:52 +02:00
Iceman 6059bff05d
Merge pull request #2098 from d18c7db/master
Fix BUGBUG in fpgaloader.h and some other small cleanups
2023-08-29 16:43:23 +02:00
Alex b46e1cb556 Fix BUGBUG in fpgaloader.h, realign fpga\define.v with armsrc\fpgaloader.h 2023-08-29 11:27:50 +02:00
Alex 12efd96173 Remove define for FPGA_MAJOR_MODE_HF_FSK_READER since there in no support for it in FPGA 2023-08-29 11:27:32 +02:00
Alex b70092efa2 Typo 2023-08-29 11:26:51 +02:00
Alex 762c942e95 Redundant check
A 3 bit counter will naturally roll over to zero on overflow, there is no need to explicitly check for max value and manually reset to zero
2023-08-29 11:26:43 +02:00
Alex 61765cc933 More compact notation 2023-08-29 11:24:56 +02:00
Alex 44fc77ec3b Newer Atmel define file, fixes some typos, adds a few new defines 2023-08-29 11:24:32 +02:00
iceman1001 4e411bec22 fixing false positives 2023-08-29 10:57:05 +02:00
iceman1001 0810f07a41 improving false positive for 14b SR tags 2023-08-28 18:00:32 +02:00
iceman1001 70bd5b80b5 limit clearing the bigbuff for 14b raw commands only to clear_trace flag 2023-08-28 17:59:48 +02:00
iceman1001 c8cfd4a517 text 2023-08-28 15:36:29 +02:00
iceman1001 71961501d4 text 2023-08-28 15:36:01 +02:00
iceman1001 ba320f26f7 ensure registers and vars is set correct 2023-08-28 15:34:36 +02:00
iceman1001 b156f4a5cd misspelled a name.... sorry 2023-08-28 12:04:12 +02:00
iceman1001 114dda1582 After testing and verification its found that the SHALLOW MODULATION in HF is not working well in RDV4. Swapping from PWR_OE4 to PWR_OE1 makes it much better. Thanks to @d18c7db for solution and @gentlekiwi for testing and never giving up on finding the bug 2023-08-28 12:00:44 +02:00
Iceman 70b65a8d72
Merge pull request #2096 from DominikPalo/documentation/update-naming-to-macos
Change "Mac OS X" to "macOS" in documentation
2023-08-27 21:29:20 +02:00
Dominik Paľo 8a861aea57
Change "Mac OS X" to "macOS" in documentation 2023-08-27 19:23:38 +02:00
iceman1001 007e8b9e5a added a section about time units 2023-08-26 12:01:55 +02:00
Iceman bd9387cd2b
Merge pull request #2095 from SimonVanMello/master
fix typo
2023-08-26 00:00:08 +02:00
Simon Van Mello 923be3f54d
fix typo
Signed-off-by: Simon Van Mello <90702729+SimonVanMello@users.noreply.github.com>
2023-08-25 23:20:55 +02:00
Iceman eaef707fb0
Merge pull request #2094 from kormax/master
Remove duplicate MFP definitions. Update AIDlist
2023-08-24 20:49:30 +02:00
kormax 96eededb8c Remove duplicate MFP command definitions 2023-08-24 21:40:53 +03:00
kormax 15ee28c7be Add SEOS AIDs 2023-08-24 21:39:59 +03:00
Iceman aa0bd3ea17
Merge pull request #2093 from d18c7db/master
Merged hi_reader and hi_reader_15 into one file, some minor tidy up in files
2023-08-24 20:38:09 +02:00
Alex f47e4ed115 Move hardcoded value where it belongs
Keep FPGA parameters together in the same place
2023-08-24 18:22:50 +02:00
Alex 3e4b7d07ff Whitespace, formatting 2023-08-24 18:21:07 +02:00
Alex 66b1758278 Removed commented out includes
Include statements in individual files are not required when compiling the code the correct way as a project with an explicitly defined work library. The Makefile exactly replicates the compilation process of the ISE environment and generates the required project files.
2023-08-24 18:06:44 +02:00
Alex c41c685807 Merged hi_reader and hi_reader_15 into one file
hi_reader_15  was a superset of hi_reader, now uses conditional compile from Makefile like the other files to select compilations options
2023-08-24 17:52:12 +02:00
Iceman 94204c0aec
Merge pull request #2092 from craftbyte/master-1
Add Slovenian Mercator Pika keys
2023-08-24 17:08:03 +02:00
Anže Jenšterle 62da4017a0
Add Slovenian Mercator Pika keys
Signed-off-by: Anže Jenšterle <anze@anze.dev>
2023-08-24 15:26:48 +02:00
iceman1001 279d6486c1 stylish 2023-08-24 10:22:18 +02:00
iceman1001 5e9e7c6b90 added missing ISO14443a command WTX 2023-08-24 10:21:11 +02:00