proxmark3/fpga-xc3s100e
2022-01-21 10:26:26 +01:00
..
iseproj/fpga_hf Missing fpga_hf.ise 2021-08-24 12:34:59 +02:00
.gitignore
clk_divider.v
compile.sh
define.v make style 2021-08-25 14:44:06 +03:00
fpga.ucf
fpga_allinone.v remove tabs 2021-09-05 00:49:57 +02:00
fpga_felica.bit Adding missing fpga-xc3s100e bit files 2022-01-21 10:26:26 +01:00
fpga_hf.bit Adding missing fpga-xc3s100e bit files 2022-01-21 10:26:26 +01:00
fpga_hfmod.v remove tabs 2021-09-05 00:49:57 +02:00
fpga_lf.bit Adding missing fpga-xc3s100e bit files 2022-01-21 10:26:26 +01:00
fpga_lfmod.v remove tabs 2021-09-05 00:49:57 +02:00
hi_flite.v
hi_get_trace.v remove tabs 2021-09-05 00:49:57 +02:00
hi_iso14443a.v remove spurious char 2021-09-05 00:53:37 +02:00
hi_reader.v remove tabs 2021-09-05 00:49:57 +02:00
hi_simulate.v remove tabs 2021-09-05 00:49:57 +02:00
hi_sniffer.v
lf_edge_detect.v
lo_adc.v typos 2021-10-16 23:44:53 +02:00
lo_edge_detect.v
lo_passthru.v
lo_read.v typos 2021-10-16 23:44:53 +02:00
lp20khz_1MSa_iir_filter.v
min_max_tracker.v
mux2_onein.v
mux2_oneout.v
util.v